gnu: nextpnr-ice40: Re-indent.

* gnu/packages/fpga.scm (nextpnr-ice40): Re-indent code.
This commit is contained in:
Efraim Flashner 2020-08-11 16:09:53 +03:00
parent 09d18c6774
commit 29bf0aa324
No known key found for this signature in database
GPG key ID: 41AAE7DCCA3D8351

View file

@ -262,38 +262,38 @@ (define-public icestorm
(define-public nextpnr-ice40 (define-public nextpnr-ice40
(let [(commit "fbe486df459909065d6852a7495a212dfd2accef") (let [(commit "fbe486df459909065d6852a7495a212dfd2accef")
(revision "1")] (revision "1")]
(package (package
(name "nextpnr-ice40") (name "nextpnr-ice40")
(version (git-version "0.0.0" revision commit)) (version (git-version "0.0.0" revision commit))
(source (source
(origin (origin
(method git-fetch) (method git-fetch)
(uri (git-reference (uri (git-reference
(url "git://github.com/YosysHQ/nextpnr") (url "git://github.com/YosysHQ/nextpnr")
(commit commit))) (commit commit)))
(file-name (git-file-name name version)) (file-name (git-file-name name version))
(sha256 (sha256
(base32 (base32
"1fmxsywgs45g88ra7ips5s2niiiwrkyxdcy742ws18dfk2y4vi9c")))) "1fmxsywgs45g88ra7ips5s2niiiwrkyxdcy742ws18dfk2y4vi9c"))))
(inputs (inputs
`(("qtbase" ,qtbase) `(("qtbase" ,qtbase)
("boost" ,boost-with-python3) ("boost" ,boost-with-python3)
("yosys" ,yosys) ("yosys" ,yosys)
("eigen" ,eigen) ("eigen" ,eigen)
("python" ,python) ("python" ,python)
("icestorm" ,icestorm))) ("icestorm" ,icestorm)))
(build-system cmake-build-system) (build-system cmake-build-system)
(arguments (arguments
`(#:configure-flags `("-DARCH=ice40" `(#:configure-flags `("-DARCH=ice40"
,(string-append "-DICEBOX_ROOT=" ,(string-append "-DICEBOX_ROOT="
(assoc-ref %build-inputs "icestorm") (assoc-ref %build-inputs "icestorm")
"/share/icebox")) "/share/icebox"))
#:tests? #f)) #:tests? #f))
(synopsis "Place-and-Route tool for FPGAs") (synopsis "Place-and-Route tool for FPGAs")
(description "Nextpnr aims to be a vendor neutral, timing driven, (description "Nextpnr aims to be a vendor neutral, timing driven,
FOSS FPGA place and route tool.") FOSS FPGA place and route tool.")
(home-page "https://github.com/YosysHQ/nextpnr") (home-page "https://github.com/YosysHQ/nextpnr")
(license license:expat)))) (license license:expat))))
(define-public arachne-pnr (define-public arachne-pnr
(let ((commit "840bdfdeb38809f9f6af4d89dd7b22959b176fdd") (let ((commit "840bdfdeb38809f9f6af4d89dd7b22959b176fdd")